فایل هلپ

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

فایل هلپ

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

دانلود پروژه FPGA & CPLD زمان برنامه نویسی VHDL

اختصاصی از فایل هلپ دانلود پروژه FPGA & CPLD زمان برنامه نویسی VHDL دانلود با لینک مستقیم و پر سرعت .

لینک دانلود و خرید پایین توضیحات

فرمت فایل word  و قابل ویرایش و پرینت

تعداد صفحات: 41

 

مقدمه ای درباره FPGA & CPLD

برای آنکه بتوان بخش بزرگی از یک طرح را داخل یک تراشه منتقل نمود و از زمان و هزینه مونتاژ و راه‌اندازی و نگهداری طرح کاست، ساخت تراشه‌های قابل برنامه ریزی مطرح شد از جمله مزایای استفاده از تراشه‌های قابل برنامه ریزی در طراحی پروژه‌ها عبارتند از :

کاهش ابعاد و حجم

کاهش زمان و هزینه طرح

افزایش اطمینان از سیستم

حفاظت از طرح

حفاظت در برابر نویز و اغتشاش

FPGA ها ابزار سخت افزاری قابل برنامه ریزی ارزان قیمت را جایگزین کاربردهای فعلی کنترلرهای داخلی (Embedded Controllers) نموده‌اند. به همین دلیل بازار آنها رشد گسترده‌ای داشته است. علاوه بر این به جهت ارائه راه حل‌های مناسب برای IC های سفارشی با عملکرد بالا موفقیت زیادی به دست آورده‌اند. در واقع به نظر می‌رسد که FPGAها با توجه به ارزان بودن، نسل فعلی تراشه‌های ASIC را از رده خارج کنند. همین مزیت هزینه و عملکرد توجه زیادی را درحوزه تحقیقات به خود معطوف کرده است.

ویژگی‌ استفاده از قطعات منطقی قابل برنامه ریزی (PLD) و FPGA، ارزان بودن قیمت و سرعت ورود آنها به بازار است.

قطعات ASIC، هزینه‌های توسعه مهندسی غیر قابل برگشت بالاتری دارند و در نتیجه اغلب، قیمت این محصولات بالاتر است، اما اساساً کارایی بالاتری دارند. این شیوه‌های مختلف طراحی محیطهایی را با مجموعه‌ای از متدولوژی و ابزاهای مختلف CAD پدید می‌آورند.

در طول یک دهه گذشته، انواع مختلفی از سخت افزارهای قابل برنامه ‌ریزی به سرعت پیشرفت کرده‌اند. این قطعات نام‌های مختلفی دارند مثل سخت افزار قابل آرایش مجدد، سخت افزار قابل آرایش، سخت افزار قابل برنامه ریزی مجدد.

ایده اصلی و زیر بنایی معماری FPGA و CPLD بسیار ساده است. به طوری کلی میتوان مدارهای ترکیبی و ترتیبی را مستقیماً روی بستر سیلیکون ایجاد کرد. تراشه‌های ASIC با اینکه کارایی بالایی دارند اما تنها می‌توانند یک نوع عملیات را انجام دهند.

از آنجایی که امکان توزیع هزینه توسعه بین چند کاربر وجود ندارد، قیمت ASIC ها معمولاً بیش از سیستمهای مبتنی بر ریز پردازنده معمولی می‌شود.

تکنولوژی تراشه‌های قابل برنامه‌ریزی

قابلیت برنامه ریزی شدن مدارات مختلف و اتصالات متفاوت بر روی PLD به دلیل سوئیچ‌های قابل برنامه ریزی است که در این تراشه وجود دارد، این سوئیچ‌ها می‌بایست علاوه بر اشغال فضای بسیار کم دارای کمترین تأخیر زمانی باشند بطور کلی سوئیچ‌‌های قابل برنامه ریزی در PLD با استفاده از سه نوع تکنولوژی قابل پیاده سازی است.

1-استفاده از Anti – Fuse

2-استفاده از سلولهای حافظه موقت Sram

3-استفاده از گیتهای شناور EEPROM یا EPROM

Anti – Fuse

خصوصیت اصلی Anti – Fuseها تنها یک بار قابلیت برنامه‌ریزی بودن، اشغال فضای کم و بالا بودن فرکانس کاری، به دلیل پایین بودن اثر مقاومتی و ظرفیت خازنی آنها است.

عیب اصلی این روش نداشتن قابلیت برنامه ریزی مجدد است و زمانی که یک بار برنامه‌ریزی گردد دیگر به حالت اولیه برنمی‌گردد و مزیت اصلی آن فرکانس کاری بالا و اشغال فضای کم آن است این نوع PLDها نسبت به انواع دیگر PLDها نسبتاً گرانتر هستند.

SRAM

در روش SRAM از سلولهای حافظه به دو طریق استفاده می‌شود، در روش اول از یک سلول حافظه برای کنترل روشن یا خاموش شدن یک ترانزیستور استفاده می‌گردد که در این حالت خروجی سلول حافظه به بیس ترانزیستور یا گیت فت متصل می شود،‌ با روشن یا خاموش شدن ترانزیستور یک مسیر وصل یا قطع می‌شود. در روش دوم


دانلود با لینک مستقیم


دانلود پروژه FPGA & CPLD زمان برنامه نویسی VHDL

پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید

اختصاصی از فایل هلپ پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید دانلود با لینک مستقیم و پر سرعت .

پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید


پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید

 

 

 

دروازه-آرایه (به انگلیسی: Gate Array) یا آرایه منطق غیرمتعهد (به انگلیسی: Uncommitted Logic Array) یک رویکرد جهت طراحی و ساخت مدارهای مجتمع با کاربرد خاص (ASIC)، با استفاده از تراشه‌های از پیش ساخته با قطعات فعال مانند دروازه NANDD می‌باشد، که بعداً با توجه به درخواست سفارش به وسیله اضافه کردن لایه‌های فلزی در محیط کارخانه به هم متصل می‌شوند.

طراحی

یک مدار دروازه-آرایه، یک مدار تراشه سیلیکونی از پیش ساخته با هیچ تابع خاصی است که در آن ترانزیستور، گیت‌های استاندارد NAND و یا NOR، و سایر قطعات فعال در موقعیت‌های از پیش تعریف شده به طور منظم قرار داده شده و روی یک ویفر که معمولاً برش اصلی یا شاه‌قاچ (master slice) نامیده می‌شود ساخته شده است. ایجاد یک مدار با یک تابع مشخص با اضافه کردن یک لایه سطح نهایی و یا لایه‌هایی از اتصالات فلزی به تراشه روی شاه‌قاچ در فرایند تولید انجام می‌شود، اتصال این عناصر اجازه می‌دهد که عملکرد این تراشه مطابق سفارش مورد نظر انجام شود. این لایه مشابه لایه یا لایه‌های مسی از یک تخته مدار چاپی (PCBB) است.

شاه‌قاچ معمولاً از پیش ساخته می‌باشند و در مقادیر زیاد بدون توجه به سفارشات مشتری ذخیره می‌شوند. طراحی و ساخت با توجه به مشخصات مشتری ممکن است در یک زمان کوتاه در مقایسه با سلول‌های استاندارد و یا طراحی سفارشی کامل به انجام شود. روش دروازه-آرایه هزینه‌های ماسک را زمانی که ماسک‌های سفارشی کمتری نیازمند تولید می‌باشد را کاهش می‌دهد. بعلاوه هزینه‌های ابزارهای تست کارخانه و زمان تست با توجه به اینکه ممکن است یک قالب تست یکسان (وسایل آزمون مشابه) برای تمام محصولات دروازه-آرایه ساخته شده در ابعاد مشابه مورد استفاده قرار بگیرد، کاهش می‌یابد. دروازه-آرایه‌ها به عنوان اجداد مدارهای ASIC دارای ساختار پیشرفته‌تر بودند، بر خلاف آرایه‌های دروازه، ASICها ساختار یافته تمایل دارند که شامل حافظه‌های از پیش تعریف شده یا قابل پیکربندی، و / یا بلاک‌های آنالوگ باشند. مدارهای ASIC ساختاریافته هنوز هم توسط برخی شرکت‌ها مانند ChipX، به فروش می‌رسد.

یک مدار کاربردی باید روی یک آرایه دروازه که به اندازه کافی دروازه یا گیت، سیم‌کشی و پایه‌های ورود‌ی-خروجی (I/O) دارد ساخته شود. از آنجا که نیازمندی‌ها متفاوت است، آرایه‌های دروازه معمولاً در قالب خانواده‌ها یا دسته‌های با اعضای بزرگتری که بیشتر از تمام منابع را دارند ارائه شده است، اما به نسبت گران تر هستند. در حالی که طراح نسبتاً به راحتی می‌تواند تعداد دروازه‌ها و پایه‌های ورود‌ی-خروجی مورد نیاز را تعیین کند، مقدار گام‌های مسیریابی مورد نیاز ممکن است به طور قابل ملاحظه‌ای حتی در میان طرح با همان مقدار از منطق متفاوت باشد.(به عنوان مثال، یک crossbar switch یا سوئیچ ماتریسی نیاز به مسیریابی بسیار بیشتر از یک آرایه سیستولیک با همان تعداد گیت دارد.) از آنجا که گام‌های مسیریابی استفاده نشده هزینه را، بدون ایجاد هیچگونه سودی، افزایش (و عملکرد را کاهش) می‌دهند، تولید کنندگان دروازه-آرایه سعی در ارائه تنها گام‌های (Track) لازم دارند. بنابراین بسیاری از طرح‌ها که از نظر دروازه و پایه‌های ورود‌ی-خروجی مناسب است را می‌توان تعیین مسیر کرد. این بوسیله تخمین چیزهایی که از قانون اجاره (Rent's rulee) و یا بوسیله تجربیاتی که از طرح‌های موجود بدست می‌آید، تعیین می‌شود.

اشکال اصلی آرایه‌های گیت، تراکم و عملکرد تا حدودی پایین‌تر در مقایسه با روش‌های دیگر طراحی مدارهای ASIC می‌باشد. با این حال این شیوه اغلب یک رویکرد قابل قبول برای حجم تولید کم است.

وی‌اچ‌دی‌ال (به انگلیسی VHDL) یک زبان توصیف سخت افزار برای بیان مشخصات سخت افزار است . زبان VHDL نخستین بار توسط وزارت دفاع آمریکا به منظور طراحی و توصیف مدارهای مجتمع سرعت بالا طراحی شد و مورد استفاده قرار گرفت . سپس در سال 1987 توسط انجمن IEEE (انجمن مهندسان برق و الکترونیک)در قالب استاندارد IEEE 1076-1987 ارائه گردید. پس از گذشت چند سال و انجام پاره ای ار تصحیحات، استاندارد دوم این زبان تحت عنوان IEEE 1076-1993 در اختیار عموم قرار گرفت . به طور کلی می توان مزایای زیر را در استفاده از زبان VHDL عنوان نمود :

  • با توجه به این که VHDL یک زبان استاندارد می باشد، کد نوشته توسط آن را می توان به روی سنتز کننده ها و تراشه های تولید کنندگان مختلف پیاده سازی نمود و نیازی به تغییر کد وجود ندارد .
  • شبیه ساز ها و کامپایلرهای این زبان در دسترس و ارزان قیمت می باشند .
  • با استفاده از این زبان می توان سیستم ها را به صورت ساختاری یا رفتاری مدل سازی نمود. توصیف رفتاری نشان دهنده عملکرد سیستم و چگونگی تولید خروجی ها بر اساس سیگنال های ورودی می باشد . با استفاده از این توصیف می توان عملکرد کلی سیستم را بیان کرد و از درگیر شدن با جزئیات بلوک های سازنده سیستم که در طرح های بزرگ به پیچیدگی توصیف سیستم منجر شود اجتناب نمود . در مقابل مدل ساختاری نشان دهنده نحوه ارتباط بلوک های سازنده سیستم است و بیانگر جزئیات بیشتری از سخت افزار می باشد . به این ترتیب با استفاده از این زبان امکان توصیف سخت افزار از سطح گیت تا سیستم فراهم می شود .
  • با استفاده از توصیف سخت افزاری می توان سیستم های پیچیده را توسط ارتباط بین بلوک های سازنده آن ها مدل سازی نمود، به این ترتیب پیاده سازی این سیستم ها توسط زبان VHDL ساده تر از زبان های برنامه نویسی از قبیل c می باشد .
  • با بکار گیری کتابخانه ها و component ها در زبان VHDL، می توان از المان های موجود و نوشته شده در سایر طراحی ها استفاده نمود . در واقع عملکرد آن ها شبیه DLL ها و توابع در زبان های برنامه نویسی نرم‌افزاری می باشد .
  • سرعت طراحی و پیاده سازی سیستم های پیچیده توسط این زبان بسیار بیشتر از طراحی شماتیک است زیرا چگونگی اتصال گیت ها و بلوک ها، توسط نرم‌افزار سنتز کننده تعیین می شود . به این ترتیب می توان سیستم های پیچیده را در مدت زمان کوتاهی پیاده سازی کرده، تغییرات و اصطلاحات مورد نیاز را در برنامه اعمال نمود .
  • استفاده از این زبان بستر مناسبی برای شبیه سازی سیستم مورد توصیف ایجاد می کند و پس از اطمینان از صحت عملکرد کد نوشته شده در محیط شبیه ساز، می توان توصیف سیستم را به روی تراشه مورد نظر پیاده کرد .

فهرست مطالب:

ASIC چیست؟

مزایا

معایب

FPGA چیست؟

مزایا

معایب

کاربردها

شرکت های سازنده

درباره زایلینکس

درباره آلترا

نحوه پیاده سازی مدارهای دیجیتالی در FPGA

پیاده سازی مدارات ترتیبی در FPGA

ضرب کننده

میکروپروسسور

طراحی ابتدایی

زبان توصیفی با سرعت خیلی بالا VHDL

شبیه سازی

سنتز

پیاده سازی

ساختار یک برنامه VHDL

معرفی کتابخانه ها

موجودیت

معماری

طراحی گیت NAND ساده

طراحی نیم جمع کننده

انواع داده

داده های از قبل تعریف شده توسط کاربر

نوع Bit و Bit Vector

انواع STD_LOGIC و STD_LOGIC_VECTOR

انواع STD_ULOGIC و STD_ULOGIC_VECTOR

تعریف نوع جدید داده

کتابخانه ها

بسته

عملگرها

انواع ساختارها در VHDL

ساختارهای موازی

ساختارهای متوالی

عبارت IF

عبارت WHEN

طراحی مدار مقایسه کننده

طراحی دیکودر 8*3

طراحی مالتی پلکسر 1*8

عبارت WITH

و...

 


دانلود با لینک مستقیم


پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید

دانلود 50 کتاب معتبر جهانی FPGA و VHDL

اختصاصی از فایل هلپ دانلود 50 کتاب معتبر جهانی FPGA و VHDL دانلود با لینک مستقیم و پر سرعت .

دانلود 50 کتاب معتبر جهانی FPGA و VHDL


دانلود 50 کتاب معتبر جهانی FPGA و VHDL

دانلود 50 کتاب معتبر جهانی FPGA و VHDL. این کتابها از معتبرترین مراجع طراحی در حوزه FPGA و برنامه نویسی VHDL و Verilog می باشد. با مطالعه این کتابها، می توان از آخرین مثالهای آماده VHDL و Verilog و همچنین آخرین تکنولوژی روز حوزه FPGA بهره مند شد. لازم بذکر است که FPGA یکی از مهمترین بخش های یک فرستنده - گیرنده حوزه مخابرات و رادار بشمار می آید. همچنین FPGA نقش بسیار موثر و مهمی در پیاده سازی سیستم های با تکنولوژی رادیونرم افزار (SDR که مخفف عبارت Software Defined Radio است) دارد. در ادامه، برخی از این کتابها در زیر آمده است:

 

 .

 

 .

 .

 

 .

 .

.

.

 

 

 

 

 ---------------------------------------

 

1

FPGA-based Implementation of Signal Processing Systems

 

 

 ---------------------------------------

2

Digital Design (VHDL): An Embedded Systems Approach Using VHDL

 

 

 

 ---------------------------------------

 3

VHDL 2008: Just the New Stuff 

 

 

 

  ---------------------------------------

4

SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling

 


 

  ---------------------------------------

5

 FPGA Design Automation: A Survey

 


 

 ---------------------------------------

 6

 

Advanced FPGA Design: Architecture, Implementation, and Optimization

 

 ---------------------------------------

 

7
Advance HDL Design Training On Xilinx FPGA
 

 

 ---------------------------------------

 

 8

Design Recipes for FPGAs, Second Edition: Using Verilog and VHDL

 

 

 ---------------------------------------

 

9

VHDL : Programming By Example

 

 ---------------------------------------

 

10

FPGA Architecture: Survey and Challenges

 

 ---------------------------------------

 

11

FPGAs: Instant Access

 ---------------------------------------

 

12 

Circuit Design with VHDL

 

 

---------------------------------------

 

13

 

Fault-Tolerance Techniques for SRAM-Based FPGAs

 

 

---------------------------------------

 

14

The Design Warrior's Guide to FPGAs: Devices, Tools and Flows

 

---------------------------------------

 

15

Security Trends for FPGAS

 

---------------------------------------

 

16

FPGA Implementations of Neural Networks

 

 

---------------------------------------

17

FSM-based Digital Design using Verilog HDL

 

 

---------------------------------------

18

Principles of Verifiable RTL Design

 

---------------------------------------

 

19

Unleash the System On Chip using FPGAs and Handel C

 

---------------------------------------

 

20

A VHDL Primer

 

 

---------------------------------------

 

21

Handbook of FPGA Design Security

 

---------------------------------------

 

22

Robotic Exploration and Landmark Determination: Hardware-Efficient Algorithms and FPGA Implementations

 

---------------------------------------

 

23

Low-Power Design of Nanometer FPGAs: Architecture and EDA

 

---------------------------------------

 

24

Hardware Acceleration of EDA Algorithms: Custom ICs, FPGAs and GPUs

 

---------------------------------------

 

25

Digital Computer Arithmetic Datapath Design Using Verilog HDL

 

---------------------------------------

 

26

FPGAs: World Class Designs

 

---------------------------------------

 

27

 

Digital Logic and Microprocessor Design with VHDL

 

 

---------------------------------------

 

28

Digital Systems Design Using VHDL

 

---------------------------------------

 

29

Reconfigurable Computing, Volume 1: The Theory and Practice of FPGA-Based Computation (Systems on Silicon)

 

 

 

---------------------------------------

 

30

VHDL 101: Everything you Need to Know to Get Started

 

 

 

---------------------------------------

 

 

31

Application-Specific Mesh-based Heterogeneous FPGA Architectures

 

 

---------------------------------------

 

32

Synthesis of Arithmetic Circuits: FPGA, ASIC and Embedded Systems

 

---------------------------------------

 

33

Processor Design: System-On-Chip Computing for ASICs and FPGAs

 

 

 

و ... تعدای کتاب دیگر که در آین مجموعه برای شما قابل دانلود است


دانلود با لینک مستقیم


دانلود 50 کتاب معتبر جهانی FPGA و VHDL

آموزش VHDL با مثال - انواع داده

اختصاصی از فایل هلپ آموزش VHDL با مثال - انواع داده دانلود با لینک مستقیم و پر سرعت .

آموزش VHDL با مثال - انواع داده


آموزش VHDL با مثال - انواع داده

فایل ورد ترجمه کتاب معروف داگلاس پری با عنوان برنامه نویسی VHDL با مثال برای استفاده مهندسان عزیز تقدیم می گردد. این کتاب در 18 فصل است که در اینجا در 18 فایل برای فروش قرار داده شده است.

در این مستند، انواع اشیاءِ مورد استفاده در VHDL را مورد برسی قرار می‌دهیم. انواعِ داده‌ی مجاز در VHDL طیفِ وسیعی از انواع، از نوع عددیِ اسکالر گرفته تا آرایه‌های مرکب و از رکوردها تا فایل‌ها را شامل می‌شود. نخستین گام در بررسی انواعِ مختلفِ داده در VHDL، شناسایی دوباره‌ی اشیائی است که می‌توانند این انواع را اختیار کنند.

پس از آن، با ارائه مثالهایی نشان می‌دهیم که به چه میزان می‌توان با استفاده از انواع داده‌های شماره‌ای و مرکب، توصیفات سادهتری ایجاد نمود.


دانلود با لینک مستقیم


آموزش VHDL با مثال - انواع داده